Symbolator

Symbolator is a component diagramming tool for VHDL and Verilog. It will parse HDL source files, extract components or modules and render them as an image.

Supported Image Formats

  • PDF

  • PNG

  • SVG

Attributes

Name Default value Description

symbolator

symbolator

The path to the symbolator executable